SpecC


Introduction
News
SpecC Sites
Introduction

SpecC is a system-level design language (SLDL) and a system-level design methodology. An in-depth description of SpecC can be found in the book SpecC: Specification Language and Design Methodology which is available through Kluwer Academic Publishers (now Springer) or your favorite online bookstore.

A Japanese edition of the green book is available through CQ Publishing in Japan.

A Japanese translation of the yellow book can be obtained from STOC.

In addition, a hands-on introduction in the style of a tutorial is available in the form of the book System: Design: A Practical Guide with SpecC, which is available through Kluwer Academic Publishers (or any online bookstore).

Using detailed examples in SpecC, the book describes the step-by-step process of taking a system design from specification down to an implemenation.

The SpecC system is an environment for system-level design:

  • SpecC Methodology:
    IP-centric Codesign Methodology for Specification, Modeling and Design of Embedded Systems at System Level.
    Download a short tutorial about the SpecC methodology.

  • SpecC Language:
    Built on top of ANSI-C with explicit support for Behavioral and Structural Hierarchy, Concurrency, State Transitions, Timing, and Exception Handling.
    Download a short tutorial about the SpecC language.

For those interested in SpecC technology, the SpecC Reference Compiler (SCRC) is freely available for download here.


News

November 2, 2007 New SpecC design example of an MP3 Decoder including associated technical report.
September 7, 2007 Freescale Semiconductor, Inc. white paper about the successful application of the SpecC methodology to create architectures for the mobile device space: Smart SpeedTM Technology: Results of Modeling for Embedded Applications.
September 28, 2006 CECS releases Version 2.1 of the SpecC Reference Compiler.
June 24, 2004 CECS releases Open Source Reference Compiler for SpecC Version 2.0, which fully supports the version 2.0 of the SpecC language.
January, 2003 As part of a class project in the EE departement at UCLA, a JPEG encoder was implemented using SpecC, SystemC, and Handel-C. Results of the comparison showing the benefits of SpecC compared to the other approaches have been published at this year's ASPDAC.
December 26, 2002 The Language Reference Manual (LRM) for the upcoming version 2.0 of the SpecC language is available now! Please check the documentation section.
June 6, 2001 EE Times article about SpecC: "SpecC consortium gloats at turmoil about SystemC".
June 1, 2001 Press Release: CECS releases Open Source Reference Compiler for SpecC.
May, 18, 2001 Release of the second SpecC book System Design: A Practical Guide with SpecC. Available through Kluwer Academic Publishers.
February 1, 2001 Press Release: CECS chosen to develop Open Source Reference Compiler for SpecC.
December 20, 2000 A Japanese translation of the book SpecC: Specification Language and Methodology is available. It is published in Japan by CQ Publishing.
August 8, 2000 New example: JPEG Encoder.
March, 2000 The SpecC book is out! The title is SpecC: Specification Language and Methodology. Order from Kluwer or an online bookstore.
February 12, 2000 SpecC examples section added. See download area.

For more information, check the SpecC History

SpecC Sites

The SpecC design language and methodology were originally developed at UC Irvine's Center for Embedded Computer Systems (CECS).

In 1999, the SpecC Technology Open Consortium (STOC) was founded by a group of industrial and academic members in oder to promote and establish SpecC as a standard for system-level design. In early 2001, STOC released version 1.0 of the SpecC language reference manual and chose CECS to develop a reference implementation of the standard. As a result, the SpecC Reference Compiler (SCRC) group was established at CECS which released the first version of the reference compiler in June 2001 and will continue maintaining and developing new releases in accordance with future versions of the language standard issued by STOC.

SCRC

For more information about the reference compiler project, please refer to the
SpecC Reference Compiler pages.

STOC

Visit the SpecC Technology Open Consortium (STOC) for information about the process of standardizing the SpecC language and methodology for system-level design in the industrial environment.



06/28/04 A. Gerstlauer (gerstl@cecs.uci.edu).