sc_core::sc_simcontext Member List

This is the complete list of members for sc_core::sc_simcontext, including all inherited members.
active_object()sc_core::sc_simcontext
add_trace_file(sc_trace_file *)sc_core::sc_simcontext
change_stamp() const sc_core::sc_simcontext [inline]
conflict_table_index_lookup(int, int)sc_core::sc_simcontext
cor_pkg()sc_core::sc_simcontext [inline]
create_cthread_process(const char *name_p, bool free_host, SC_ENTRY_FUNC method_p, sc_process_host *host_p, const sc_spawn_options *opt_p, int seg_id, int inst_id)sc_core::sc_simcontext
create_method_process(const char *name_p, bool free_host, SC_ENTRY_FUNC method_p, sc_process_host *host_p, const sc_spawn_options *opt_p, int seg_id, int inst_id)sc_core::sc_simcontext
create_thread_process(const char *name_p, bool free_host, SC_ENTRY_FUNC method_p, sc_process_host *host_p, const sc_spawn_options *opt_p, int seg_id, int inst_id)sc_core::sc_simcontext
cycle(const sc_time &)sc_core::sc_simcontext
delta_count() const sc_core::sc_simcontext
elaborate()sc_core::sc_simcontext
elaboration_done() const sc_core::sc_simcontext [inline]
end()sc_core::sc_simcontext
evaluation_phase() const sc_core::sc_simcontext [inline]
event_occurred(sc_dt::uint64 last_change_count) const sc_core::sc_simcontext [inline]
find_object(const char *name)sc_core::sc_simcontext
first_object()sc_core::sc_simcontext
gen_unique_name(const char *basename_, bool preserve_first=false)sc_core::sc_simcontext
get_active_invokers()sc_core::sc_simcontext [inline]
get_child_objects() const sc_core::sc_simcontext
get_cor_pointer(sc_process_b *process_p)sc_core::sc_simcontext [friend]
get_curr_proc() const sc_core::sc_simcontext
get_current_writer() const sc_core::sc_simcontext [inline]
get_error()sc_core::sc_simcontext [inline]
get_export_registry()sc_core::sc_simcontext [inline]
get_module_registry()sc_core::sc_simcontext [inline]
get_object_manager()sc_core::sc_simcontext [inline]
get_port_registry()sc_core::sc_simcontext [inline]
get_prim_channel_registry()sc_core::sc_simcontext [inline]
get_status() const sc_core::sc_simcontext [inline]
hierarchy_curr() const sc_core::sc_simcontext
hierarchy_pop()sc_core::sc_simcontext
hierarchy_push(sc_module *)sc_core::sc_simcontext
initial_crunch(bool no_crunch)sc_core::sc_simcontext [inline]
initialize(bool=false)sc_core::sc_simcontext
is_lock_owner()sc_core::sc_simcontext
is_locked()sc_core::sc_simcontext
is_locked_and_owner()sc_core::sc_simcontext
is_not_owner()sc_core::sc_simcontext
is_running() const sc_core::sc_simcontext
is_running_process(sc_process_b *)sc_core::sc_simcontext [inline]
is_unlocked()sc_core::sc_simcontext
max_time() const sc_core::sc_simcontext [inline]
next_cor()sc_core::sc_simcontext
next_object()sc_core::sc_simcontext
next_proc_id()sc_core::sc_simcontext [inline]
next_time(sc_time &t) const sc_core::sc_simcontext
notify_phase() const sc_core::sc_simcontext [inline]
oooschedule(sc_cor *cor)sc_core::sc_simcontext
pending_activity_at_current_time() const sc_core::sc_simcontext
prepare_to_simulate()sc_core::sc_simcontext
remove_running_process(sc_process_b *)sc_core::sc_simcontext [inline]
remove_trace_file(sc_trace_file *)sc_core::sc_simcontext
reset()sc_core::sc_simcontext
reset_curr_proc()sc_core::sc_simcontext [inline]
resume_cor(sc_cor *)sc_core::sc_simcontext
sc_channel classsc_core::sc_simcontext [friend]
sc_clock classsc_core::sc_simcontext [friend]
sc_cthread_process classsc_core::sc_simcontext [friend]
sc_delta_count()sc_core::sc_simcontext [friend]
sc_end_of_simulation_invoked()sc_core::sc_simcontext [friend]
sc_event classsc_core::sc_simcontext [friend]
sc_get_default_time_unit()sc_core::sc_simcontext [friend]
sc_get_time_resolution()sc_core::sc_simcontext [friend]
sc_get_top_level_events(const sc_simcontext *simc_p)sc_core::sc_simcontext [friend]
sc_get_top_level_objects(const sc_simcontext *simc_p)sc_core::sc_simcontext [friend]
sc_invoke_methodsc_core::sc_simcontext [friend]
sc_is_running(const sc_simcontext *simc_p)sc_core::sc_simcontext [friend]
sc_kernel_locksc_core::sc_simcontext [friend]
sc_method_process classsc_core::sc_simcontext [friend]
sc_module classsc_core::sc_simcontext [friend]
sc_object classsc_core::sc_simcontext [friend]
sc_pause()sc_core::sc_simcontext [friend]
sc_pending_activity_at_current_time(const sc_simcontext *)sc_core::sc_simcontext [friend]
sc_pending_activity_at_future_time(const sc_simcontext *)sc_core::sc_simcontext [friend]
sc_phase_callback_registry classsc_core::sc_simcontext [friend]
sc_prim_channel classsc_core::sc_simcontext [friend]
sc_process_b classsc_core::sc_simcontext [friend]
sc_process_handle classsc_core::sc_simcontext [friend]
sc_set_default_time_unit(double, sc_time_unit)sc_core::sc_simcontext [friend]
sc_set_time_resolution(double, sc_time_unit)sc_core::sc_simcontext [friend]
sc_simcontext()sc_core::sc_simcontext
sc_start(const sc_time &, sc_starvation_policy)sc_core::sc_simcontext [friend]
sc_start_of_simulation_invoked()sc_core::sc_simcontext [friend]
sc_thread_cor_fn(void *)sc_core::sc_simcontext [friend]
sc_thread_process classsc_core::sc_simcontext [friend]
sc_time classsc_core::sc_simcontext [friend]
sc_time_to_pending_activity(const sc_simcontext *)sc_core::sc_simcontext [friend]
set_curr_proc(sc_process_b *)sc_core::sc_simcontext [inline]
set_error(sc_report *)sc_core::sc_simcontext [inline]
sim_status() const sc_core::sc_simcontext [inline]
simulate(const sc_time &duration)sc_core::sc_simcontext
stop()sc_core::sc_simcontext
suspend_cor(sc_cor *)sc_core::sc_simcontext
time_adv_table_index_lookup(int)sc_core::sc_simcontext
time_stamp() const sc_core::sc_simcontext [inline]
update_phase() const sc_core::sc_simcontext [inline]
write_check() const sc_core::sc_simcontext [inline]
~sc_simcontext()sc_core::sc_simcontext

Generated on 30 Sep 2015 for SystemC by  doxygen 1.6.1